PROM.v.html 266 B

123456789101112
  1. `timescale 1ns / 1ps // 32-bit PROM initialised from hex file PDR 23.12.13
  2. module PROM (input clk,
  3. input [8:0] adr,
  4. output reg [31:0] data);
  5. reg [31:0] mem [511: 0];
  6. initial $readmemh("../prom.mem", mem);
  7. always @(posedge clk) data <= mem[adr];
  8. endmodule