PROM.v.html 216 B

12345678910
  1. `timescale 1ns / 1ps
  2. module PROM(
  3. input [10:0] adr,
  4. output reg [31:0] data,
  5. input clk);
  6. reg [31:0] mem [2047: 0];
  7. initial $readmemh("../prom.mem", mem);
  8. always @(posedge clk) data <= mem[adr];
  9. endmodule