DRAM.v.html 251 B

12345678910111213
  1. `timescale 1ns / 1ps
  2. module DRAM(input [10:0] adr,
  3. input [31:0] din,
  4. output reg [31:0] dout,
  5. input we,
  6. input clk);
  7. reg [31:0] mem [2047: 0];
  8. always @(posedge clk) begin
  9. if (we) mem[adr] <= din;
  10. dout <= mem[adr];
  11. end
  12. endmodule